ОБЗОР ГАЗОВОЙ ХИМИИ ИСПОЛЬЗУЕМОЙ ДЛЯ ПЛАЗМОХИМИЧЕСКОГО ТРАВЛЕНИЯ SI, SIO2 И SI3N4

Запевалин Александр Иванович
Пензенский государственный университет
аспирант кафедры «Приборостроение»

Аннотация
Данная статья посвящена обзору используемой газовой химии для травления Si, SiO2 и Si3N4. В статье рассматривается анизотропия процесса травления в газах CF4, C2F6, C4F8, SF6, CHF3, NF3, Cl2, CCl4, BCl3, Br2, CBr4. Обсуждается влияние добавление инертных газов и водорода на процесс травления.

Ключевые слова: Анизотропия процесса травления, Реактивно-ионное травление, РИТ, Селективность травления.


OVERVIEW OF GAS-PHASE CHEMISTRY USED FOR PLASMA CHEMICAL ETCHING SI, SIO2 AND SI3N4.

Zapevalin Alexander Ivanovich
Penza State University
Postgraduate of "Instrument making" department

Abstract
This article reviews the use of gas chemistry for etching Si, SiO2 and Si3N4. The article considers the anisotropy of the etching process in gases CF4, C2F6, C4F8, SF6, CHF3, NF3, Cl2, CCl4, BCl3, Br2, CBr4. The effect of the addition of inert gases and hydrogen on the etching process.

Keywords: anisotropy, anisotropy of the etching process, gas chemistry for etching, RIE


Рубрика: 01.00.00 ФИЗИКО-МАТЕМАТИЧЕСКИЕ НАУКИ

Библиографическая ссылка на статью:
Запевалин А.И. Обзор газовой химии используемой для плазмохимического травления Si, SiO2 и Si3N4 // Современные научные исследования и инновации. 2014. № 6. Ч. 1 [Электронный ресурс]. URL: https://web.snauka.ru/issues/2014/06/35784 (дата обращения: 29.03.2024).

Травление кремния и его соединений, с помощью галогеновой химии: Кремний может быть протравлен в любом галогеносодержащем газе, таких как F2, CF4, C2F6, C4F8, SF6, CHF3, NF3, Cl2, CCl4, BCl3, Br2, CBr4 и т.п.. Радикалы фтора самопроизвольно травят кремний с образованием летучего продукта SiF4. Хотя молекулы хлора хемосорбируются на кремнии при комнатной температуре, они должны преодолеть энергетический барьер в ~10 эВ, чтобы образовать химические связи с атомами на поверхности кремния с образованием SiCl4. Такой энергетический барьер не существует для формирования  SiFx и, таким образом, реакция протекает спонтанно при комнатной температуре.

Таким образом, бомбардировка поверхности травления энергетическими ионами необходима для того, чтобы инициировать реакцию образования SiCl4 и SiBr4, а в случае фторсодержащих газов ионная бомбардировка увеличивает реакцию.

Кроме того, радикалы, полученные в плазме от галогенов меньшей реакционной способностью, такие как хлор и бром, реагируют с кремнием с образованием менее летучих продуктов реакции, которые прилипают к поверхности. Таким образом, влияние ионов и активизирует и индуцирует образование хлоридов кремния или бромидов  кремния в зависимости от адсорбированных радикалов галогенов и одновременно удаляют энергонезависимые пленки из продуктов реакции. В частности, бромиды кремния имеют очень низкую летучесть и нужна бомбардировка энергичными ионами, чтобы стимулировать их удаление с поверхности кремния. Боковые стенки обрабатываемых элементов, обычно подвергаются небольшим потоком ионной бомбардировки, таким образом, при травлении в хлорной и бромной химии в результате получается анизотропное травление управляемое энергией ионов. Травление во фторе, как правило, имеет большую тенденцию к изотропному травлению и в результате происходит минимальное повреждение поверхности.

Скорость травления кремния и его соединений в плазме на фторуглеродной основе может быть увеличена путем добавления кислорода, захватывающего углерод, предотвращая полимеризацию ненасыщенных фторуглеродных частиц. Тот же эффект может быть достигнут при добавлении газообразного хлора в CF4 и CCl4, поскольку хлор действует как эффективный окислитель. Однако добавление окислителей снижает селективность к изолирующим маскам, потому что углеродные полимеры также агрессивно травятся в той же химии.

Анизотропия в галогеновой химии: Анизотропия травления во фторе обычно достигается добавлением ингибиторов. Добавление водорода во фторуглеродную химию, приводит к истощению радикалов фтора и, следовательно, к снижению коэффициента F/C. Отношение F/C в диапазоне 2-3 требуется для эффективной разработки анизотропного процесса травления  управляемого с помощью ингибитора [1].

Пассивация  боковых стенок может быть увеличена путем окисления или азотирования  без бомбардировки поверхности кремния, путем добавления небольшого количества кислорода или азота в плазму. Защита боковых стенок также может быть улучшена путем осаждения хлоруглеродных или бромуглеродных пленок, путем добавления к плазме хлоруглеродных или бромуглеродных газов, которые легко полимеризуются при активации плазмой.

Ионная бомбардировка разрушает пассивацию или защитные пленки, сформированные на таких поверхностях, то есть на дне структуры, что приводит к направленному и вертикальному травлению.

Добавление водорода приводит к преимущественному образованию пленки CFX на поверхности кремния, а не на поверхности диоксида кремния. Это происходит потому, что непрерывная бомбардировка поверхности SiO2 испаряет фторуглеродную пленку в виде СО, COF2 и CO2  посредством реакции с поверхностным кислородом в слое SiO2. В результате  этого селективного образования защитной полимерной пленки на поверхности кремния,  происходит  селективное травление SiO2 [2-4]. Исследования пиков фотоэмиссии  после обработки поверхности кремния в плазме CF4/40%H2 показывает, что энергия химической связи Si-C составляет 284 эВ [5], которая имеет решающее значение при формировании фторуглеродной пленки на кремниевой поверхности. Рисунок 1 показывает толщины полимерной пленки и скорости травления кремния и диоксид кремния при добавлении 0-50% H2 к CF4 плазмы [5]. Наблюдается увеличение толщины фторуглеродной пленки с увеличением концентрации водорода и пропорциональным уменьшением скорость травления кремния, в то время как формирование пленки фторполимера на SiO2  не увеличивается, в результате, нет какой-либо заметной зависимости и в скорости травления. Используя эту технику, было сообщено, что получена селективность SiO2:Si до 20:01. CF4-H2 плазма обеспечивает высокую селективность между фоторезистом и пленкой SiO2, как приведено на рисунке 2.

Рисунок 1 –Толщина фторуглеродной пленки на поверхности Si и  SiO2 в зависимости от содержания водорода в CF4. Зависимость скорости травления Si, SiO2 от содержания водорода в CF4.

 

Рисунок 2 – Зависимость скорости травления Si, SiO2, PMMA, AZ1350B от содержания водорода в CF4

Селективное травление кремния относительно диоксида кремния: плазма фтора естественным образом обеспечивает селективное травление кремния по отношению к двуокиси кремния. Flamm и др. измеряли люминесценцию во время травления кремния в плазме фтора и пришли к выводу, что селективность к SiO2 находится в диапазоне от 41 при комнатной температуре до 26,2 при 100◦C[6]. Травление кремния в плазме хлора было представлено Шварцом и др. [7]. Обычно травление кремния в плазме хлора индуцируется ионно-лучевым процессом и, следовательно, приводит к  худшей селективности.

Добавление инертных газов: Наряду с окислителями и акцепторами радикалов, инертные газы часто добавляют к плазме. Это делается по нескольким причинам:

(I)                инертные газы, такие как Ar стабилизируют плазму, поскольку они легко отдают электроны в плазму в отличие от химических веществ, которые, в силу их высокой электроотрицательности, захватывают доступные электроны необходимые для поддержания тлеющего разряда;

(II)              высокий коэффициент распыления у ионов Ar+ улучшает скорость ионно-стимулированного травления;

(III)            направленный характер ионной бомбардировки улучшает анизотропию травления;

(IV)             высокая теплопроводность инертных газов, таких, как He при добавлении в плазму может улучшить передачу тепла от пластины к держателю и к плазменной камере. Конечно большое количество инертного газа, кроме того, будет разбавлять компоненты реактивного газа, и процесс травления будет смещаться в сторону травления физическим распылением.

Ямакава др.. [8] продемонстрировали очень высокую скорость травления пленки диоксида кремния (BPSG) с помощью возбуждения микроволн в неравновесной плазме при атмосферном давлении. Авторы смогли продемонстрировать сверхвысокую скорость травления SiO2 (14 мкм/мин) и беспрецедентную селективность – 200 по отношению к кремнию с использованием NF3/He с добавлением Н2О в качестве травильного газа. Такая высокая скорость травления может быть связана с наличием радикалов NFX, образующихся из распада NF3 в NF*х+ F*-радикалы. NFX-радикалы (с х> 0) чрезвычайно агрессивны по отношению к SiO2 и другим диэлектрическим (и полимерным) материалам и травят их при чрезвычайно высокой скорости. Добавление водяного пара (H2O) вызывает потребление радикалов фтора с образованием HF:

(2F* + H2O → 2HF + <О>),

 тогда как радикалы NFX гораздо менее разлагаются. Водяной пар, следовательно, действует в качестве селективного поглотителя радикалов фтора и, следовательно, снижает любые нежелательные реакции с кремнием.

Однако, если NF3 полностью разлагается, например, в плазме высокой плотности, высокой мощности, то плазма в основном состоит только из радикалов фтора и азота. В этих условиях было отмечено уменьшение скорости травления SiO2 и других диэлектриков. Полный распад молекул NF3 при соответствующих условиях плазмы подтверждается по цвету плазмы, которая изменяется от темно-красного до синеватого цвета, что указывает на изменение в преобладании  радикалов  фтора над NFX  в плазме.

Травление нитрида кремния: нитрид кремния легко травится в плазме фтора и имеет внутренние характеристики травления кремния и диоксида кремния. Поскольку нитрид кремния обычно используется в процессе LOCOS (местное окисление кремния), часто возникает необходимость для травления нитрида кремния через диоксид кремния и кремний с высокой селективностью. Скорость травления Si3N4 приблизительно в 5-10 раз выше, чем у SiO2, в зависимости от температуры. В плазме CF4/O2 получена селективность Si3N4:SiO2 ~ 2 [8]. При добавлении азота в CF4/O2 плазму было найдено  повышение селективности нитрида кремния относительно диоксида кремния до 10:1. Сандерс и др. сообщили о достижении высокой селективности >10:1 для травления нитрида кремния относительно диоксида кремния путем добавления CF3Br в CF4/O2 плазму [8]. Считается, что эта химия преобразует часть атомов F в BrF и BrF3 и селективно травит нитрид кремния, тогда как интергалогеновые газовые радикалы не воздействуют на диоксид кремния. Кроме того, Br и Cl радикалы в плазме, как предполагается, преобразовывают атомарный кислород (радикалы) в более инертные молекулы кислорода. Этот процесс объеденения радикалов, которые в противном случае окисляют поверхность SixNy, объясняет высокую скорость травления SixNy по сравнению с SiO2 в газах интергалогенов. Сообщается что, самая высокая селективность в травлении нитрида кремния относительно диоксида кремния при использовании газов интергалогенов, таких как ClF3 и BrF3 [9]. Таким образом, из-за спонтанного образования SiF4, кремний быстрее травится, чем SiO2, которому для процесса травления требуется ионная бомбардировка. Добавление кислорода в процессы РИТ увеличивает скорость травления как Si так и SiO2. Добавление водорода можно использовать для селективного травления диоксида кремния по кремнию через формирование фторуглеродных пленок.


Библиографический список
  1. J.W. Coburn, H.F. Winters: Plasma etching–A discussion of mechanisms, J. Vac. Sci. Technol.16,391–403(1979)
  2. R.A.H. Heinecke: Control of relative etch rates of SiO2 Electron.18, 1146–1147 (1975)
  3. H.W.Lehmann, R.Widmer: Profile control by reactive sputter etching, J. Vac.Sci.Technol. 15, 319–326 (1978)
  4. L.M.Ephrath:Selective etching of silicon dioxide using reactive ion etching with CF4-H2, J.Electrochem. Soc. 126, 1419–1421 (1979)
  5. G.S. Oehrlein, S.W. Robey, J.L. Lindstrom, K.K. Chan, M.A. Jaso, G.J. Scilla: Surface modifications of electronic materials induced by plasma etching, J. Electrochem. Soc. 136, 2050–2057 (1989)
  6. 6. G.S. Oehrlein, W.D. Westwood, J.J. Haber: Reactive Ion Etching, in Reactive Ion Etching: Handbook of Plasma Processing Technology, pp. 196–206 (Rossnagel, S.M. (Ed.) Noyes, New York, NY, 1990)
  7. G.C. Schwartz, P.M. Schaible: Reactive ion etching of silicon, J. Vac. Sci. Technol. 16, 410–413 (1979)
  8. F.H.M. Sanders, J. Dieleman, H.J. B. Peters, J.A.M. Sanders: Selective isotropic dry etching of Si3N4 over SiO2, J. Electrochem. Soc. 129, 2559–2561 (1982)
  9. D.E. Ibbotson, J.A. Mucha, D.L. Flamm, J.M. Cook: Selective interhalogen etching of tantalum compounds and other semiconductormaterials, Appl. Phys. Lett. 46, 794–796 (1985)


Количество просмотров публикации: Please wait

Все статьи автора «Александр Запевалин»


© Если вы обнаружили нарушение авторских или смежных прав, пожалуйста, незамедлительно сообщите нам об этом по электронной почте или через форму обратной связи.

Связь с автором (комментарии/рецензии к статье)

Оставить комментарий

Вы должны авторизоваться, чтобы оставить комментарий.

Если Вы еще не зарегистрированы на сайте, то Вам необходимо зарегистрироваться:
  • Регистрация